Ȩ > °í°´¼¾ÅÍ
Ä«Å×°í¸® ¹ÝµµÃ¼ÀåºñºÎÇ° > ±âŸ
2014³â06¿ù16ÀÏ Àåºñ List
2014-06-16 17:10:34 4582
÷ºÎÆÄÀÏ : Inventory_List_140616(0).xlsx (34.2 KB) Download: 2101ȸ
¾È³çÇϼ¼¿ä?
¿£ÅÍÄÉÀÌ ÀÔ´Ï´Ù.

¿£ÅÍÄÉÀÌ ÀåºñÀç°í ¸®½ºÆ®¸¦ ¿¢¼¿ÆÄÀÏ·Î
¿Ã·Á µÎ¾úÀ¸´Ï ´Ù¿î¹Þ¾Æ¼­ ¾÷¹«ÀÇ Âü°í
ÇϽñ⠹ٶø´Ï´Ù.

°¨»çÇÕ´Ï´Ù.
Accent Korea/Q200/FT-IR MEASUREMENT/ADVANTEST/M6741A/HANDLER/AIR PRODUCTS KOREA/CG100/TACM02 LDS/AIXTRON INC/LYNX3, TM/CVD-WSIX/LYNX3/WSI CVD/
LYNX3, TM/WSIX/AMAT/COMPASS/DPS poly/Etcher/MAVT-4100/AOC/AXCELIS/ASH-MTL_200A(EATON)/ASHER/ASHING-WSI(EATON)/ASH-MTL_202A(EATON)/200ACU/
AXCELIS/FUSION/Backend/WAFER MOUNT #1/¾Æ·Ð/VERTICAL GRINDING/NTS/Laser scribing_Titan #1/Laser scribing_Titan #2/BMR/HiEtch-LX200/ICP ETCHER/
BROKER/D7000/BTU/VIP70N/SMT REFLOW SYST/CARL ZEISS/AXIOTRON/SCOPE/DAN/SCOV8795/Cassett Clean Oven/DAS SCIENCE/TKW-5S/WET STATION/DNS/DNS_80A/SPINNER/
EBARA/EPO 222/CMP/CMP : FREX300_S/ELECTROGLAS/EG5/300/DEFECT INSPECTION /EPI/Activation Furnace/°í·ÁÀü±â·Î°³¹ß/GEN/GENCORE_A3020/ETCH/GENUS/GENUS-7000/
CVD/LYNX3/GENUS_7000/GENUS_6010/L3200/GIPC/ASHER/GPT/GAMMA/GSI./M430/LASER REPAIR SYSTEM/HIT/SPECIAL/HITACHI/HD-2000/ANALYSIS/Hitachi/FB-2000/
HITACHI/IS2700SE/DEFECT INSPECTION/RS3000/CD SEM/S9360/METRO/IMS/ATS125/IMS/K&S/1474FP/BOND/KDNS/KSPIN8/SPINNER/KEITHLEY/SWITCHING MATRIX/TESTER/KLA_TENCOR/
INS3000/METRO/KLA2138/INS3300/REVIEW STATION/SFS7600/PARTICLE INSPECTION/DEFECT INSPECTION/SFS7700/ES31/ES30/SFS7600/PARTICLE INSPECTION/SFS76XX/MRW200/TESTER/
KLA5010/DEFECT INSPECTION/AIT UV/KLA5200/OVERLAY/OVERLAY : ARCHER10XT/KLA2370/DEFECT INSPECTION/INS3300/REVIEW STATION/kla2608/DEFECT INSPECTION/SFS7600/PARTICLE INSPECTION/DJ-823V-8BL/
KOKUSAI/DJ-823V-8BL/Furnace/DJ_823V_8F/DIFFUSION FURNACE/KE_DJ823V-8F/KE_DJ853V_8BL/KE_DJ835V-8F/DJ_823V_8F/KE_DJ823V-8F/DJ_823V_8F/DJ-823/DJ_823V_8F/KE_DJ823V-8F/DJ_823V_8F/
DJ-853V-8BL/FURNACE(DIFFUSION)/Furnace/DD-823V/DJ-823V-8BL/DJ-853V-8BL3/DJ-823V-8BL/Furnace/KE_DJ853V_8BL/FURNACE(DIFFUSION)/KE_DJ853V-8DL/KOSES/SOLDER BALL ATTACH : KAM750PRS/SOLDER BALL ATTACH /
M300/KOYO/FURNACE(OVEN )/Kyeongsung/S/M-05/XD CARD HOUSING/LAM/STAR - 2300 Versys (2C)/ETCHER/E4528-OX/ETCHER(DRY )/TCP9408/STAR - 2300 Versys/STAR Versys/LEICA/MIS200/PARTICLE INSPECTION/
LEO/LTA/LIFE TIME MEASUREMENT/LOGI_TECH/CDP/ANALYSIS/LTX_CREDENCE/FUSION/TESTER/MATTSON/ASPEN_3/CVD/ASPEN3/METAL/RTP#1/´º¿µ¿¥¿¡Å©/RTP#2/BITMAP TESTER : MS4205/MOSAID/BIT MAP TESTER/
MRSI/MRSI-503M/FLIP CHIP DIE BONDER/NANO/9010B/Nano 9010B/NANOMETRICS/AFT210/THICKNESS MEASUREMENT/NIKON/NSR 4425i/STEPPER/NRM3300/OVERLAY/STEPPER : NSR2205I11D/STEPPER/Nikon NSR ¢ßNST/NSR-1755i7/
Stepper/NOVELLUS/PDL/CVD/GAMMA/2100/PEP3600C/M2K(M2000/8)/OKAMOTO/GNX-200/Back Grinder/GNX300/Grinder/OSUNG LST/OS-THB01-C10W/TEMP&HUMID TEST  CHAMBER/PHOTONICE INC/FM-ARS9000/TESTER/
Plasma/VHX PECVD/BMR(ž¿£Áö´Ï¾î¸µ)/BMR HIETECH (RIE)-ICP ETCHER /BMR ICP ETCHER (RIE)/ICP Etcher (RIE)/PLASMA ASHER/Cograde RF3000/PECVD/Femto Science/Diener electronic/PSC_456_AVL/DRY ETCHER/
PSC/ULTIMA3/TSL3000/ETCHER(DRY )/HiEtch ICP Etcher/BMR(ž¿£Áö´Ï¾î¸µ)/CAS-II/½Å¼º ENG/Çö¹Ì°æ(PSS_photo)/NIKON/OVEN(PSS)/»õÇÑENG/SEMI AUTO PROBER (P6800)/QC/P6800/WAFER INSPECTOR(LED¿Ü°ü°Ë»ç±â)/IMS/
LVIS-III/INK MARKING M/C/KIT/APT6000HP/QUESTER TECHNOLOGY/RIGAKU/SYS_3630/DOSE MEASUREMENT/XRF MEASUREMENT/XRF_3630/XRF_3630/CONCENTRATION MEASUREMENT/683A/Probe station 3/Rucker and Kolls/
RUDOLPH/AXI-S/DEFECT INSPECTION/SAMCO/RIE-10NR/SEMES/Lozix/LITHOGRAPHY IMMERSION/LOZIX8/SEMILAB/FAAST300/Shibuya Kogyo co./SBM362/SOFETX/SFX-125/SSEC/3301/SSP/2M WLM/SUSSMicroTec/ABC200/TECDIA/
TEC-3005KD/CHIP breaking M/C/ALPHA-8S-ZD/Furnace/ALPHA-8S-C/TEL_A808SC/FURNACE(DIFFUSION)/TEL_A808SE_FTPS/TEL_A808S/ALPHA_808SC/TEL_A808SC/@808SC/P-8/PROBER/UW300Z/WET STATION/ACT12/PHOTO/
A808SE/DIFF/ALPHA_808SCN/DIFFUSION FURNACE/P-8/PROBER/UW300Z/WET Bench /P8XL/TEL Prober/ALPHA-808SC/Furnace/ALPHA-808CN/ALPHA-808SCN/DIFFUSION FURNACE/TEMPTRONIC/TP04000A_2B21_2 /Thermo Streem/
P7000 FULL AUTO PROBE #1/TSE/TEST/P7000 FULL AUTO PROBE #2/P7000 FULL AUTO PROBE #3/P7000 FULL AUTO PROBE #4/P7000 FULL AUTO PROBE #5/P7000 FULL AUTO PROBE #6/ASM(Á¦¸ð½ºÄÚ¸®¾Æ)/SORTER #1/SORTER #2/
SORTER #3/SORTER #4/SORTER(DL) #5/SORTER(DL) #6/SORTER(DL) #7/SORTER(DL) #8/SORTER(DL) #9/SORTER(DL) #10/Blue Tape Ring Mount/WAFER EXPANDER #1/UV CURING M/C/Dynatex/ÇÑ°æ/ASM/IMS/WAFER EXPANDER #2/
WAFER SCANNER #1/WAFER SCANNER  #2/WAFER INSPECTOR #1/WAFER INSPECTOR #2/WAFER Àü»ç M/C/¼º¸²ÇÏÀÌÅØ/19x2inch/Thomas Swan/MOCVD/TIMEC/71106L-310DI/MASK EQUIPMENT/HA3000/TSK/DEFECT INSPECTION/
WIN WIN 50/WIN-WIN50/DEFECT INSPECTION/ENTRON_S/ULVAC/SPUTTER/ENTRON T5/E1000/Varian/IMPLANTER/VARIAN/M2i/SPUTTER/YASHIMA/P-5B-S/TEMPERATURE TESTER/YJ Display/CIS_03/CIS_04/¹Ì·¡»ê¾÷/MR3120/
HANDLER/¾×¼¾Æ® ÄÚ¸®¾Æ (Accent Korea)/Q8/FT-IR MEASUREMENT/¿¤Æ®¸°/ÀÌÁî¹Ìµð¾î(IZ Media)/Á¦ÀÌƼ/¢ßSVS/LTRIN200/3M WLM/HW812H/MSX-1000/ Lift off M/C
AMD3 1 2-10UA-8-1-4Y/¾à¾×¿ë Air Operate Valve
AMD0 1 2-6US-4-1-4M/¾à¾×¿ë Air Operate Valve
¸ñ·Ïº¸±â
ÃÖ±Ùº»»óÇ°/Àå¹Ù±¸´Ï

¿£ÅÍÄÉÀÌ ±¸¸Å´ëÇà

ÃÖÀú°¡/´Ü³³±â Ãßõ

enterk@ssenp.co.kr
031.935.0452
°áÁ¦ °èÁ¹øÈ£
±â¾÷ÀºÇà
607-017205-01-013
ÀÌ»ó±Ù (¿¡½º¿¡½ºÀÌ¿£ÇÇ)
¿À´Ã ¹æ¹®ÀÚ ¼ö
11,171 ¸í